Programación en VHDL/Ejemplos/sram

De Wikilibros, la colección de libros de texto de contenido libre.

Introducción[editar]

Una memoria síncrona de un puerto usa la misma dirección para leer y escribir. Para un mejor control de versión, el código VHDL y su banco de pruebas puede obtenerse desde GitHub con el siguiente enlace: sram_un_puerto.

Resultados de Simulación[editar]

Simulación de una memoria síncrona de un puerto

Resultados de la Síntesis[editar]

Diagrama RTL resultante del análisis y síntesis de Quartus Prime Lite

Implementación en Hardware[editar]

Se usó Quartus Prime Lite 16 para cargar la configuración a un FPGA Cyclone 5 incorporado en la tarjeta DE0-Nano-SoC. El archivo de configuración se encuentra en el siguiente enlace: sram_up.sof.

Simulación en Tiempo Real[editar]

Se usó un Analog Discovery 2 para generar las señales digitales y, al mismo tiempo, medir el comportamiento de la SRAM.

Conexiones entre el dispositivo Analog Discovery 2 y el GPIO_0 de la tarjeta DE0-Nano-SoC
Esquema de conexiones entre el dispositivo Analog Discovery 2 y el GPIO_0 de la tarjeta DE0-Nano-SoC

El archivo de Fritzing que produjo las imágenes anteriores puede obtenerse desde: ad2-de0_nano_soc.fzz.

Generación de patrones digitales con Waveforms 2015 para la simulación de una SRAM en tiempo real.
Resultado del analizador lógico de Waveforms 2015 para la simulación de una SRAM en tiempo real.

El proyecto de Waveforms 2015 que produjo las imágenes anteriores puede obtenerse desde: sram_up.dwf3work.

Anexos[editar]

Analog Discovery 2 junto con una tarjeta DE0-Nano-SoC para simular hardware en tiempo real.